[Altera][Q2HB] HandBook

2008/11/24未分類import

[Altera][Q2HB] HandBook

コレを全部読めれば,設計フローからソフトの使い方から,おおよそ把握できるはず..(総ページ2496)
QuartusIIからヘルプを押下すると,このHandbook内の章や節が分冊されたものを開こうとするでしょう.vざっと何をすべきか,何ができるかを把握するには,こちらを見て脳内indexを生成しておくと効率が良いでしょう*1



*1 : 拙者,記憶の揮発性が高いので,結構時間の無駄になっている気もしますが....

QuartusII Handbook全貌

ファイル:"quartusii_handbook.pdf"

Quartus II Handbook Version 8.1
 Volume 1: Design and Synthesis
  Section I. Design Flows
   Chapter 1, Design Planning with the Quartus II Software
    重要なFPGAデザインの計画について記されます.
     - device selection
     - early power estimation
     - I/O pin planning
     - design planning
    要求事項とALTERAの各種ツールの紹介もあります.

   Chapter 2, Quartus II Incremental Compilation for Hierarchical and Team-Based Design
    無料ツールでサポートしてなさげなので省略.
    グループ開発をサポートするQuartusの使い方と思想について記述あり.

   Chapter 3, Quartus II Design Flow for MAX+PLUS II Users
    MAX+PLUS IIユーザ向けの乗り換え案内?

   Chapter 4, Quartus II Support for HardCopy Series Devices
    FPGAで試作,ASICで量産を行う際のフローについて解説?


  Section II. Design Guidelines
   Chapter 5, Design Recommendations for Altera Devices and the Quartus II Design Assistant
    同期設計の演習と組み合わせ回路の構造とクロックスキームのガイドラインを記す.
    Design Assistantを使ってデザインルールチェックをする方法を含みます.
    デバイスアーキテクチャのデザイン指標について考えるときに読むべき...?

   Chapter 6, Recommended HDL Coding Styles
    推奨HDL コーディングスタイルと例示(VHDL/VerilogHDL).
    ALTERAデバイスアーキ依存のオプション記述についても触れる模様..

   Chapter 7, Best Practices for Incremental Compilation Partitions and Floorplan Assignments
    インクリメンタルコンパイルとフロアプラン設計演習.
    グループデザインを行う場合に参照すればよい?.無償版では使えないだろう.

  Section III. Synthesis
   論理合成全般.
   Chapter 8, Quartus II Integrated Synthesis
   Chapter 9, Synopsys Synplify Support
   Chapter 10, Mentor Graphics Precision Synthesis Support
   Chapter 11, Mentor Graphics LeonardoSpectrum Support
   Chapter 12, Analyzing Designs with Quartus II Netlist Viewers


 Volume 2: Design Implementation and Optimization
  Section I. Scripting and Constraint Entry
   Chapter 1, Assignment Editor
   Chapter 2, Command-Line Scripting
   Chapter 3, Tcl Scripting
   Chapter 4, Managing Quartus II Projects

  Section II. I/O and PCB Tools
   Chapter 5, I/O Management
   Chapter 6, Mentor Graphics PCB Design Tools Support
   Chapter 7, Cadence PCB Design Tools Support

  Section III. Area, Timing and Power Optimization
   Chapter 8, Area and Timing Optimization
   Chapter 9, Power Optimization
   Chapter 10, Analyzing and Optimizing the Design Floorplan
   Chapter 11, Netlist Optimizations and Physical Synthesis
   Chapter 12, Design Space Explorer

  Section IV. Engineering Change Management
   Chapter 13, Engineering Change Management with the Chip Planner

 Volume 3: Verification
 Volume 4: SOPC Builder
  Section I. Simulation
   Chapter 1, Quartus II Simulator
   Chapter 2, Mentor Graphics ModelSimSupport
   Chapter 3, Synopsys VCS Support
   Chapter 4, Cadence NC-Sim Support
   Chapter 5, Aldec Active-HDL Support
   Chapter 6, Simulating Altera IP in Third-Party Simulation Tools

  Section II. Building Systems with SOPC Builder
   Chapter 9, SOPC Builder Memory Subsystem Development Walkthrough
   Chapter 10, SOPC Builder Component Development Walkthrough

  Section III. Interconnect Components
   Chapter 11, Avalon Memory-Mapped Bridges
   Chapter 12, Avalon Streaming Interconnect Components

 Volume 5: Embedded Peripherals
   Section I. Off-Chip Interface Peripherals
    Chapter 1, SDRAM Controller Core
    Chapter 2, CompactFlash Core
    Chapter 3, Common Flash Interface Controller Core
    Chapter 4, EPCS Device Controller Core
    Chapter 5, JTAG UART Core
    Chapter 6, UART Core
    Chapter 7, SPI Core
    Chapter 8, Optrex 16207 LCD Controller Core
    Chapter 9, PIO Core
    Chapter 10, Avalon-ST JTAG Interface Core
    Chapter 11, Avalon-ST Serial Peripheral Interface Core
    Chapter 12, SPI Slave/JTAG to Avalon Master Bridge Cores
    Chapter 13, PCI Lite Core

   Section II. On-Chip Storage Peripherals
    Chapter 14, Avalon-ST Single Clock and Dual Clock FIFO Cores
    Chapter 15, On-Chip FIFO Memory Core
    Chapter 16, Avalon-ST Multi-Channel Shared Memory FIFO Core

   Section III. Transport and Communication
    Chapter 17, Avalon Streaming Channel Multiplexer and Demultiplexer Cores
    Chapter 18, Avalon-ST Bytes to Packets and Packets to Bytes Converter Cores
    Chapter 19, Avalon Packets to Transactions Converter Core
    Chapter 20, Avalon-ST Round Robin Scheduler Core

   Section IV. Peripherals
    Chapter 21, Scatter-Gather DMA Controller Core
    Chapter 22, DMA Controller Core
    Chapter 23, Video Sync Generator and Pixel Converter Cores
    Chapter 24, Interval Timer Core
    Chapter 25, System ID Core
    Chapter 26, Mutex Core
    Chapter 27, Mailbox Core

   Section V. Test and Debug Peripherals
    Chapter 28, Cyclone III Remote Update Controller Core
    Chapter 29, Performance Counter Core
    Chapter 30, Avalon Streaming Test Pattern Generator and Checker Cores

後半抜けてますが,まぁわかるでしょう.
判るならコレ要らないか...('A`

[Altera][QSF] TSU_REQUIREMENT

2008/11/11未分類import

免責

英語力の弱い人が適当に訳しています.自分では意味がわかるようにとれたものと,そうでないものとがあります.概要理解の参考にしていただければ幸いですが,オリジナルの英文を参照されることを強く推奨いたします.

対象ファイル:"mnl_qsf_reference.pdf"


[Altera][QSF] TSU_REQUIREMENT

入力(データ)端子に対する,許容される最大のclock setup時間を指定します.
"setup time"は,データ入力端子またはenable入力端子経由でレジスタに流れ込むデータで,clock端子にレジスタの時間を計るclock信号がアサートされる前に,入力端子に現れる必要があるようなデータの時間の長さです.

意訳) あるレジスタの,clockやdata信号が入力される必要のある時間(setup timeやね)

入力(データ)端子に対する,許容される最大の"clock setup time(tSU)"を指定します.
"setup time"は,データ入力端子またはenable入力端子経由でレジスタに流れ込むデータで,clock端子にレジスタの時間を計るclock信号がアサートされる前に,入力端子に現れる必要があるようなデータの時間の長さです.

あなたは,特定のsourceとdestinationまたは,wilodカードやtimegroupを含むすべての正しいノード間の"tsu Requirement timing assignment"を指定できます.


Type

Time (valueアイテムは時間指定となります.)


Device Support

この設定は,どのようなAlteraデバイスファミリー向けのプロジェクトでも使えます.


Notes

この指定は,ワイルドカードに対応します.
この指定は,どのような複製されたノードにもコピーされます.


Syntax

set_global_assignment -name TSU_REQUIREMENT <value>
set_instance_assignment -name TSU_REQUIREMENT -to <to> -entity <entityname> <value>
set_instance_assignment -name TSU_REQUIREMENT -from <from> -to <to> -entity<entity name> <value>

Example

set_instance_assignment -name tsu_requirement 3ns -from pin -to reg
set_instance_assignment -name tsu_requirement 3ns -to pin
set_instance_assignment -name tsu_requirement 3ns -from clk -to pin
set_instance_assignment -name tsu_requirement 3ns -to pin_bus*

See Also

"TH_REQUIREMENT" on page 19-78

"INPUT_MAX_DELAY" on page 19-35

"INPUT_MIN_DELAY" on page 19-37

別参照

National Instruments社のチュートリアルドキュメント.デジタル波形のタイミングにて基礎重充填...

[Altera][QSF] TCO_REQUIREMENT

2008/11/11未分類import

免責

英語力の弱い人が適当に訳しています.自分では意味がわかるようにとれたものと,そうでないものとがあります.概要理解の参考にしていただければ幸いですが,オリジナルの英文を参照されることを強く推奨いたします.

対象ファイル:"mnl_qsf_reference.pdf"


[Altera][QSF] TCO_REQUIREMENT

許容される最大の,出力端子への"clock to output delay"を指定します.
"clock to output delay"は,レジスタの時間を計る入力端子のクロック信号が遷移した後に,そのレジスタにより流れ込む(feed)出力端子が有効な出力を得るのに必要な時間です.この時間は,常に外部端子間の遅延として現れます.

許容される最大の,出力端子への"Clock-to-Output遅延(tco)"を指定します.
"Clock-to-Output遅延(tco)"は,レジスタの時間を計る入力端子のクロック信号が遷移した後に,この時間は,常に外部端子間の遅延として現れます.あなたは,特定のsourceとdestinationまたは,wilodカードやtimegroupを含むすべての正しいノード間のtco requirement timing assignmentを指定することができます.

訳注:
"clock to output" と "clock-to-output" とで差がある??
オリジナルで2節の違いはそこだけ..

Type

Time (valueアイテムは時間指定となります.)


Device Support

この設定は,どのようなAlteraデバイスファミリー向けのプロジェクトでも使えます.

Notes

この指定は,ワイルドカードに対応します.この指定は,どのような複製されたノードにもコピーされます.


Syntax

set_global_assignment -name TCO_REQUIREMENT <value>
set_instance_assignment -name TCO_REQUIREMENT -to <to> -entity <entityname> <value>
set_instance_assignment -name TCO_REQUIREMENT -from <from> -to <to> -entity<entity name> <value>

Example

# Specific clk to pin path
set_instance_assignment -name tco_requirement 5ms -from clk -to pin
# From clk to any output pin
set_instance_assignment -name tco_requirement 5ms -to clk
set_instance_assignment -name tco_requirement 5ms -from clk -to *
# From any clock to pin
set_instance_assignment -name tco_requirement 5ms -to pin
set_instance_assignment -name tco_requirement 5ms -from * -to pin

See Also

"MIN_TCO_REQUIREMENT" on page 19-49

"OUTPUT_MAX_DELAY" on page 19-62

"OUTPUT_MIN_DELAY" on page 19-64


別参照

海外の掲示板で,同期回路設計をしようとしている人の質問と回答.http://www.velocityreviews.com/forums/t22483-setup-vs-clocktooutput-time-vs-hold-time.html

ALTERA-Documents

2008/11/11未分類import

[Altera]

渦巻きの人をさわることになり,ドキュメントを読むハメになりました.が,最新のdocumentは和訳が追いついていないため,語学力の弱い私としては苦労しております.

同じ境遇の方,また,どうやって学習してよいかわからないという方,共に勉強していきましょう….

翻訳ミスや,思い違い等あるかと思いますので,随時指摘いただけますと助かります.さて,これもどこまで伸びるか(ぇ-


参照文献とタグの対応

前文は不可能なので,気になった部分等,部分的に抜粋していきます.

[altera][qsf]"mnl_qsf_reference.pdf"
[altera][Q2HB]"quartusii_handbook.pdf"
[altera][TSR]"TclScriptRefMnl.pdf"