[Altera][TSR] set_output_delay

2008/11/24FPGA::QuartusIIimport

[Altera][TSR] set_output_delay

Usage

set_output_delay [-add_delay] -clock <name> [-clock_fall] [-fall] [-max] [-min] [-reference_pin <name>] [-rise] [-source_latency_included] <delay> <targets>

Option

-add_delay
既存の遅延に加えて上書きします.
-clock
Clock name
-clock_fall
立下りエッヂ基準でoutput delayを指定します.
-fall
portにおいて,立下り時のoutput delayを指定します.
-max
最大データ要求時間を適用します.(Applies value as maximum data required time)
-min
最小データ要求時間を適用します.(Applies value as minimum data required time)
-reference_pin
Specifies a port in the design to which the output delay is relative
-rise
立上りエッヂ基準でoutput delayを指定します.
-source_latency_included
Specifies input delay already includes added source latency
Time value
Collection or list of output ports


Description

"-clock"で指定されたクロックを基準として,出力端子におけるデータ要求時間(data required times)を与えます.
その(指定する)クロックは,デザイン内のクロック名を参照する必要があります.
"Output delay"は,クロックの立上りエッヂ(default)または立下りエッヂ(-clock_fall)を基準として与えることができます.
もし,output delayが,単純な生成されたクロック(1つのターゲットと生成されたクロック)を基準として与えられるのであれば,生成されたクロックへの,クロック到着時間は,データ要求時間に加算されます.

output delayは,クロックネットワーク内のポートを基準として与えることができます(-reference_pin).参照ポートへのクロック到着時間は,データ要求時間に加算されます.

ポートが未参照のピンについてはサポートしていません.output delayは,クロックソースのレイテンシを含むことができます.デフォルトでは,関係するクロックのクロックソースレイテンシはoutput delay値に加算されます.しかし,"-source_latency_included"オプションが与えられるとき,クロックソースレイテンシは加算されません.なぜならば,それ(クロックソースレイテンシ)は,output delay値に含まれるからです.

maximum output delay(-max)は,clockのセットアップのチェックやリカバリ(recovery)チェックに使われます.minimum output delay(-min)は,clockのホールドチェックや削除(removal)チェックに使われます.もし,与えられたポートに対して,"-min"か"-max"のどちらかのみが指定されたならば,双方に同じ値が使われます.

そのポートに対して,立上がり時間(-rise)と立下り時間(-fall)とを分けて時間要求を指定することができます.もし,与えられたポートに対して"-rise"と"-fall"のいずれか一方のみが指定されたならば,双方に同じ値が使われます.

デフォルトでは,"set_output_delay"は,同じ"-clock"・"-clock_fall"・"-reference_pin"の組み合わせ以外の,どんなほかのportに対するoutput-delayをも取り除きます.

異なるclock・clockエッヂ・基準となる端子との,関連する複数のoutput-delayは,"-add_delay"オプションを使うことで指定できます.ターゲットの値は,collectionか特定の型のcollectionを作成するのに使用されるwildcardのTcl listのどちらかです.使用される値は,標準のTclかTimeQuest-extension代替規則に従わなければなりません.詳しくは,"use_timequest_style_escaping" コマンドヘルプを参照ください.


Example

# Simple output delay with the same value for min/max and rise/fall:
# 1) set on ports with names of the form myout*
set_output_delay -clock clk 0.5 [get_ports myout*]

# 2) set on all output ports
set_output_delay -clock clk 0.5 [all_outputs]

# Output delay with respect to the falling edge of clock
set_output_delay -clock clk -clock_fall 0.5 [get_ports myout*]

# Output delays for different min/max and rise/fall combinations
set_output_delay -clock clk -max -rise 0.5 [get_ports myout*]
set_output_delay -clock clk -max -fall 0.4 [get_ports myout*]
set_output_delay -clock clk -min -rise 0.4 [get_ports myout*]
set_output_delay -clock clk -min -fall 0.3 [get_ports myout*]

# Adding multiple output delays with respect to more than one clock
set_output_delay -clock clkA -min 0.2 [get_ports myout*]
set_output_delay -clock clkA -max 0.8 [get_ports myout*]
set_output_delay -clock clkA -clock_fall 0.6 [get_ports myout*] -add_delay
set_output_delay -clock clkB -min 1.1 [get_ports myout*] -add_delay
set_output_delay -clock clkB -max 1.5 [get_ports myout*] -add_delay

# Specifying an output delay relative to an external clock output port
set_output_delay -clock clk -reference_pin [get_ports clkout] 0.8 [get_ports myout*]

他参照

"hc_h51025_j.pdf"p.24の例の説明

# set_input_delay と set_output_delay を使用してI/O タイミングを設定するTcl スクリプト
set_input_delay -clock ref_clk -max 7.0 [get_ports data_in[0]]
set_input_delay -clock ref_clk -min 3.0 [get_ports data_in[0]]
set_output_delay -clock ref_clk -max 8.0 [get_ports data_out[0]]
set_output_delay -clock ref_clk -min 4.0 [get_ports data_out[0]]

data_in[0] の外部入力遅延は、クロックref_clk の正エッヂを基準にし、
data_out[0] の外部出力遅延はクロックref_clk の負エッヂを基準にします。

むぅ,負エッヂというのは立下りか?英文説明と合わないような.
和文より原文優先ともあるし,参照しているdocumentのほうが新しいので,本文修正ナシとします.気になったのでコレはコレでおいておきます.→エッヂ基準で,時間が正,負という意味ですね,きっと.


注意事項

英語力の弱い人が適当に訳しています.自分では意味がわかるようにとれたものと,そうでないものとがあります.概要理解の参考にしていただければ幸いですが,オリジナルの英文を参照されることを強く推奨いたします.