[Altera][TSR] set_multicycle_path

2008/11/24FPGA::QuartusIIimport

[Altera][TSR] set_multicycle_path

Usage

set_multicycle_path [-end] [-fall_from <names>] [-fall_to <names>] [-from <names>] [-hold] [-rise_from <names>] [-rise_to <names>] [-setup] [-start] [-through <names>] [-to <names>] <value>

Options

-end
Specifies that the multicycle is relative to the destination clock waveform (default)
-fall_from
Valid source clocks (string patterns are matched using Tcl string matching)
-fall_to
Valid destination clocks (string patterns are matched using Tcl string matching)
-from
Valid sources (string patterns are matched using Tcl string matching)
-hold
Specifies that the multicycle value applies to clock hold or removal checks
-rise_from
Valid source clocks (string patterns are matched using Tcl string matching)
-rise_to
Valid destination clocks (string patterns are matched using Tcl string matching)
-setup
Specifies that the multicycle value applies to clock setup or recovery checks (default)
-start
Specifies that the multicycle is relative to the source clock waveform
-through
Valid through nodes (string patterns are matched using Tcl string matching)
-to
Valid destinations (string patterns are matched using Tcl string matching)
Number of clock cycles

Description

与えられたpath(given path)のセットのために,multicycle例外を指定します.Multicyclesは,ソースclock("-start")またはデスティネーションclock("-end")によって,指定することができます.これは,ソースclockとデスティネーションclockとが,異なる周波数で動いているときに有用です.例えば,ソースclockがデスティネーションclockよりも2倍早い(半分の周期)であった場合,"-start multicycle"には2が要求されます.

Hold multicycle("-hold")は,setup multicycle("-setup")に対して(relative to)計算されます.hold multicycleの値は,デフォルトのhold multicycle から除外するクロックエッヂ数を再定義します.デフォルトhold multicycle はゼロです.

"-from"と"-to"の値は,デザイン内のclock・register・port・pin・cellのコレクションです."-from"または"-to"の値が指定されない場合,コレクションは自動的に"[get_keepers *]"に変換されます.

指定されないコレクションの片方が,クロックのコレクションである場合,クロックコレクションとして明示的に,この(省略された)コレクションを指定することは,より効果的であることに注意するべきです..(しかし,クロックのコレクションもまた,希望した設定を生成するのみであれば.)clock間で例外を適用することは,"-from clock"で駆動される全てのレジスタまたはポートから,"-to clock"で駆動される全てのレジスタまたはポートへの例外を適用します.

また,clockペア間で例外を適用することは,ノードからノードや,ノードからclock pathへ適用するより,より効果的です.

pin名やコレクションが使われた場合,"-from"の値はclock pin,かつ,"-to"の値はclockを除くレジスタへの入力pinでなければなりません."from clock pins"または"to and from cells"指定は,その"clock pin"で駆動される全てのレジスタか,cell内の全てのレジスタに適用されます.

"-through"値は,pinのコレクションまたはデザイン内のnetです.デザイン内の"through"で適用されたnodeは,throughで指定されたnodeにのみ適用されます.

"-rise_from"と"-fall_from"オプションは,"-from destination nodes"の代わりに使用できる.オプションの"rise"値または"fall"値は,"from"nodeが,clock path上で,どのような論理否定も考慮されたこのノードに入るクロックの立上りまたは立下りエッヂで駆動されることを示します.(clock入力端におけるエッヂを指定する,ということかな.clock出力端から見た値ではない,ということで.)"-from"オプションは,"from"ノードの,立上がりと立下りの組み合わせです.もし,"from"コレクションがclockコレクションの場合,その指定はクロックエッヂの立ち上りまたは立下りによって駆動される,それぞれのnodeに適用されます.

"-rise_to" と"-fall_to"オプションは,前述の"from"オプションと同様の振る舞いをします.これらの指定は,どんなclock pathに沿った論理否定も考慮に入れた"rise"値または"fall"値に関係したnodeやclockへの与えられた指定のみを制限します.

"-from","-to","-throughおよび似たようなオプションは,コレクションでも,特定の型のコレクションを作るためのワイルドカードのTcl listでもよい.使われた値は,標準のTclまたは,"TimeQuest-extension"置換規則が続かなければならない.

詳細は,"use_timequest_style_escaping"コマンドのヘルプを参照ください.


Example

create_clock -period 10.000 -name CLK [get_ports clk]
create_generated_clock -divide_by 2 -source [get_ports clk] -name CLKDIV2 [get_registers clkdiv]

# Apply a source multicycle of 2 with a hold multicycle of 1 for all
# paths from the CLK domain to the CLKDIV2 domain.
set_multicycle_path -start -setup -from [get_clocks CLK] -to [get_clocks CLKDIV2] 2
set_multicycle_path -start -hold -from [get_clocks CLK] -to [get_clocks CLKDIV2] 1

# Apply a multicycle constraint of 3 (with a default hold multicycle of
# 0) for a
# specific path in the design.
set_multicycle_path -end -setup -from [get_pins rega|clk] -to [get_pins regb|*] 3

# Apply a multicycle constraint of 2 to a given cell, except for the
# reset pin.
set_multicycle_path -end -setup -to [get_cells regb] 2
set_multicycle_path -end -setup -to [get_pins regb|aclr] 1

#Apply a multicycle constraint of 3 rising from a clock and falling to a
# node
set_multicycle_path -end -setup -rise_from [get_clocks CLK] -fall_to [get_pins regb|datab] 3

他参照

ホーム > サポート > デザイン・ソフトウェア > TimeQuestタイミングアナライザ > タイミング例外 > TimeQuest set_multicycle_path コマンド
http://www.altera.co.jp/support/software/timequest/exceptions/tq-multicycle-path.html



注意事項

英語力の弱い人が適当に訳しています.自分では意味がわかるようにとれたものと,そうでないものとがあります.概要理解の参考にしていただければ幸いですが,オリジナルの英文を参照されることを強く推奨いたします.